From: j8takagi Date: Fri, 2 Nov 2012 11:07:57 +0000 (+0900) Subject: Merge branch 'master' of rabbit:/home/git/public/fizzbuzz X-Git-Url: http://j8takagi.net/cgi-bin/gitweb.cgi?a=commitdiff_plain;h=32fb0c3f35c7b6618e3e3f80521c79170e1a8208;hp=4e6e0e248b8a85113bfc2681199bd941b7dd69d1;p=fizzbuzz.git Merge branch 'master' of rabbit:/home/git/public/fizzbuzz --- diff --git a/casl/fizzbuzz.casl b/casl/fizzbuzz.casl deleted file mode 100644 index fff05f0..0000000 --- a/casl/fizzbuzz.casl +++ /dev/null @@ -1,58 +0,0 @@ -MAIN START - RPUSH - LD GR1,MIN ; GR1にMINを代入 -LOOP CALL FIZBUZ ; FIZBUZを呼び出す - LAD GR1,1,GR1 ; GR1 <- GR1 + 1 - CPL GR1,MAX ; GR1とMAXを比較 - JPL FIN ; GR1 > MAX の場合、FINへジャンプ - JUMP LOOP ; LOOPへジャンプ -FIN RPOP - RET -MIN DC 1 -MAX DC 100 - -;; GR1の値に応じて次を出力 -;; 3の倍数: Fizz -;; 5の倍数: Buzz -;; 3の倍数かつ5の倍数: FizzBuzz -;; それ以外の数: 数値 -FIZBUZ START - RPUSH - XOR GR4,GR4 ; GR4: 文字列「Fizz」の長さおよびフラグ - XOR GR5,GR5 ; GR5: 文字列「Buzz」の長さおよびフラグ - XOR GR6,GR6 ; GR6: 文字列「FizzBuzz」のフラグ -GETFIZ LD GR2,FIZNUM ; GR2にFIZNUM=3を代入 - CALL DIVL ; GR3 <- GR1 % 3(= GR2) - LD GR3,GR3 ; GR3のフラグ値を取得 - JNZ GETBUZ ; GR3が0でない場合、FIZNUMの倍数ではないので、GETBUZへジャンプ - LD GR4,FIZLEN ; GR4に文字列「Fizz」の長さFIZLEN=4を代入 -GETBUZ LD GR2,BUZNUM ; GR2にBUZNUM=5を代入 - CALL DIVL ; GR3 <- GR1 % 5(= GR2) - LD GR3,GR3 ; GR3のフラグ値を取得 - JNZ PUTS ; GR3が0でない場合、BIZNUMの倍数ではないので、PUTSへジャンプ - LD GR5,BUZLEN ; GR4のフラグ値を取得 -PUTS LD GR6,GR4 ; GR6 <- GR4 - AND GR6,GR5 ; GR6 <- GR6 and GR4 - JZE CHKFIZ ; GR6が0の場合、GR4かGR5のどちらかが0なので、CHKFIZへジャンプ - ADDL GR4,GR5 ; GR4 <- GR4 + GR5 - JUMP PUTFIZ ; 「FizzBuzz」を出力するため、PUTFIZへジャンプ -CHKFIZ LD GR4,GR4 ; GR4のフラグ値を取得 - JZE CHKBUZ ; GR4が0の場合、CHKBUZへジャンプ -PUTFIZ ST GR4,LEN ; LEN <- GR4 - OUT FIZZ,LEN ;「Fizz」または「FizzBuzz」を出力 - JUMP FIN ; FINへジャンプ -CHKBUZ LD GR5,GR5 ; GR5のフラグ値を取得 - JZE PUTNUM ; GR5が0の場合、PUTNUMへジャンプ -PUTBUZ ST GR5,LEN ; LEN <- GR4 - OUT BUZZ,LEN ;「Buzz」を出力 - JUMP FIN ; FINへジャンプ -PUTNUM CALL OUTL ; GR1の数値を表示 -FIN RPOP - RET -FIZNUM DC 3 -BUZNUM DC 5 -FIZZ DC 'Fizz' -BUZZ DC 'Buzz' -FIZLEN DC 4 -BUZLEN DC 4 -LEN DS 1 diff --git a/cnt/c/Makefile b/cnt/c/Makefile new file mode 100644 index 0000000..f271073 --- /dev/null +++ b/cnt/c/Makefile @@ -0,0 +1,12 @@ +CC ?= gcc +CFLAGS ?= -g -Wall -Wextra + +.PHONY: all clean + +all: fizzbuzz + +fizzbuzz: fizzbuzz.c + $(CC) $(CFLAGS) -o $@ $^ + +clean: + @$(RMF) fizzbuzz diff --git a/cnt/c/fizzbuzz.c b/cnt/c/fizzbuzz.c new file mode 100644 index 0000000..8a511f9 --- /dev/null +++ b/cnt/c/fizzbuzz.c @@ -0,0 +1,29 @@ +#include +#include +enum { + MIN = 1, + MAX = 100, + FIZZNUM = 3, + BUZZNUM = 5, +}; + +int main() +{ + assert(MIN <= FIZZNUM && MIN <= BUZZNUM); + int i, fizz, buzz; + + for(i = fizz = buzz = MIN; i <= MAX; i++, fizz++, buzz++) { + fizz = (fizz == FIZZNUM) ? 0 : fizz; + buzz = (buzz == BUZZNUM) ? 0 : buzz; + if(fizz == 0 && buzz == 0) { + puts("FizzBuzz"); + } else if(fizz == 0) { + puts("Fizz"); + } else if(buzz == 0) { + puts("Buzz"); + } else { + printf("%d\n", i); + } + } + return 0; +} diff --git a/casl/.gitignore b/cnt/casl/.gitignore similarity index 100% rename from casl/.gitignore rename to cnt/casl/.gitignore diff --git a/casl/casl2lib/abs.casl b/cnt/casl/casl2lib/abs.casl similarity index 100% rename from casl/casl2lib/abs.casl rename to cnt/casl/casl2lib/abs.casl diff --git a/casl/casl2lib/divl.casl b/cnt/casl/casl2lib/divl.casl similarity index 100% rename from casl/casl2lib/divl.casl rename to cnt/casl/casl2lib/divl.casl diff --git a/casl/casl2lib/outl.casl b/cnt/casl/casl2lib/outl.casl similarity index 100% rename from casl/casl2lib/outl.casl rename to cnt/casl/casl2lib/outl.casl diff --git a/casl/casl2lib/rev.casl b/cnt/casl/casl2lib/rev.casl similarity index 100% rename from casl/casl2lib/rev.casl rename to cnt/casl/casl2lib/rev.casl diff --git a/cnt/casl/fizzbuzz.casl b/cnt/casl/fizzbuzz.casl new file mode 100644 index 0000000..3e28fea --- /dev/null +++ b/cnt/casl/fizzbuzz.casl @@ -0,0 +1,43 @@ +MAIN START + RPUSH + LD GR1,MIN ; GR1:カウンター。初期値はMIN + LD GR2,MIN ; GR2:Fizzカウンター。初期値はMIN + LD GR3,MIN ; GR3:Buzzカウンター。初期値はMIN + XOR GR4,GR4 ; GR4:FizzBuzzフラグ。初期値は0 +SETFIZ CPL GR2,FIZNUM ; GR2 = 3ではない場合、SETBUZへジャンプ + JNZ SETBUZ ; ↓ + LAD GR2,0 ; GR2 <- 0 +SETBUZ CPL GR3,BUZNUM ; GR3 = 5ではない場合、PUTFBへジャンプ + JNZ PUTFB ; ↓ + LAD GR3,0 ; GR3 <- 0 +PUTFB LD GR4,GR2 ; GR4 <- GR2 or GR3 + OR GR4,GR3 ; ↓ + JNZ PUTFIZ ; GR4が0ではない場合、GR2とGR4のどちらかが0ではないので、PUTFIZへジャンプ + OUT FIZZ,FBLEN ; 「FizzBuzz」を出力 + JUMP LOPEND ; LOPENDへジャンプ +PUTFIZ LD GR2,GR2 ; GR2が0ではない場合、PUTBUZへジャンプ + JNZ PUTBUZ ; ↓ + OUT FIZZ,FIZLEN ; 「Fizz」を出力 + JUMP LOPEND ; LOPENDへジャンプ +PUTBUZ LD GR3,GR3 ; GR3が0ではない場合、PUTNUMへジャンプ + JNZ PUTNUM ; ↓ + OUT BUZZ,BUZLEN ; 「Buzz」を出力 + JUMP LOPEND ; LOPENDへジャンプ +PUTNUM CALL OUTL ; GR1の数値を表示 +LOPEND CPL GR1,MAX ; GR1とMAXを比較 + JZE FIN ; GR1 = MAX の場合、FINへジャンプ + LAD GR1,1,GR1 ; GR1 <- GR1 + 1 + LAD GR2,1,GR2 ; GR2 <- GR2 + 1 + LAD GR3,1,GR3 ; GR3 <- GR3 + 1 + JUMP SETFIZ ; SETFIZへジャンプ +FIN RPOP + RET +MIN DC 1 ; FIZZNUMとBUZNUMより小さい数値でなければならない +MAX DC 100 +FIZNUM DC 3 +BUZNUM DC 5 +FIZZ DC 'Fizz' +BUZZ DC 'Buzz' +FIZLEN DC 4 +BUZLEN DC 4 +FBLEN DC 8 diff --git a/emacslisp/.gitignore b/cnt/emacslisp/.gitignore similarity index 100% rename from emacslisp/.gitignore rename to cnt/emacslisp/.gitignore diff --git a/cnt/ruby/fizzbuzz.rb b/cnt/ruby/fizzbuzz.rb new file mode 100755 index 0000000..4023e8f --- /dev/null +++ b/cnt/ruby/fizzbuzz.rb @@ -0,0 +1,21 @@ +#!/usr/local/bin/ruby + +min = 1 +max = 65535 + +fizz = buzz = min +for i in min..max + fizz = 0 if fizz == 3 + buzz = 0 if buzz == 5 + if fizz == 0 and buzz == 0 + puts("FizzBuzz") + elsif fizz == 0 + puts("Fizz") + elsif buzz == 0 + puts("Buzz") + else + puts(i) + end + fizz += 1 + buzz += 1 +end diff --git a/c/Makefile b/mod/c/Makefile similarity index 51% rename from c/Makefile rename to mod/c/Makefile index 0bcad0e..fb2ddc9 100644 --- a/c/Makefile +++ b/mod/c/Makefile @@ -7,10 +7,15 @@ CASL2SRC := struct.c hash.c ASSRC := assemble.c token.c label.c EXECSRC := exec.c dump.c -.PHONY: clean +.PHONY: all clean -fizzubzz: fizzbuzz.c - $(CC) $(CFLAGS) -o fizzbuzz $^ +all: fizzbuzz fizzbuzz2 + +fizzbuzz: fizzbuzz.c + $(CC) $(CFLAGS) -o $@ $^ + +fizzbuzz2: fizzbuzz2.c + $(CC) $(CFLAGS) -o $@ $^ clean: - @$(RMF) fizzbuzz + @$(RMF) fizzbuzz fizzbuzz2 diff --git a/c/fizzbuzz.c b/mod/c/fizzbuzz.c similarity index 84% rename from c/fizzbuzz.c rename to mod/c/fizzbuzz.c index 87d7802..43e73ed 100644 --- a/c/fizzbuzz.c +++ b/mod/c/fizzbuzz.c @@ -1,4 +1,8 @@ #include +enum { + MIN = 1, + MAX = 100, +}; void puts_fizzbuzz(int n) { @@ -19,10 +23,9 @@ void puts_fizzbuzz(int n) int main() { - const int max = 100, min = 1; int i; - for(i = min; i <= max; i++) { + for(i = MIN; i <= MAX; i++) { puts_fizzbuzz(i); } return 0; diff --git a/mod/casl/.gitignore b/mod/casl/.gitignore new file mode 100644 index 0000000..5761abc --- /dev/null +++ b/mod/casl/.gitignore @@ -0,0 +1 @@ +*.o diff --git a/mod/casl/casl2lib/abs.casl b/mod/casl/casl2lib/abs.casl new file mode 100644 index 0000000..be0b922 --- /dev/null +++ b/mod/casl/casl2lib/abs.casl @@ -0,0 +1,17 @@ +;;; GR1を符号付き整数とみなし、絶対値に変換 +;;; 入力 GR1:-32768から32767の整数 +;;; 出力 GR1:入力された整数の絶対値 +;;; OF:入力されたGR1が-32768の場合、1 +;;; SF:入力されたGR1が負数(-32767〜-1)の場合、1 +ABS START + AND GR1,GR1 + JPL FIN + JZE FIN + XOR GR1,ALLON + ADDA GR1,ONE + JOV FIN + CPL GR1,ALLON +FIN RET +ONE DC 1 +ALLON DC #FFFF + END diff --git a/mod/casl/casl2lib/divl.casl b/mod/casl/casl2lib/divl.casl new file mode 100644 index 0000000..3591366 --- /dev/null +++ b/mod/casl/casl2lib/divl.casl @@ -0,0 +1,47 @@ +;;; 0〜65535の範囲にある正数の割算(筆算方式)を行う +;;; 入力 GR1:被除数 GR2:除数 +;;; 出力 GR0:商 GR3:剰余 +;;; (GR2 = 0)の場合、GR0 GR3とも0になり、オーバーフロー +DIVL START + PUSH 0,GR1 + PUSH 0,GR2 + PUSH 0,GR4 + XOR GR0,GR0 ; GR0:商 初期化 + XOR GR3,GR3 ; GR3:剰余 初期化 + AND GR2,GR2 ; (GR2 = 0)の場合、DIVZEROへジャンプ + JZE DIVZERO ; ↓ + AND GR1,GR1 ; (GR1 = 0)の場合、FINへジャンプ + JZE FIN ; ↓ + ST GR2,Y ; YにGR2の初期値を保存 + LAD GR4,1 ; GR4:対象ビットのインデックス 初期化 +SL CPL GR2,GR1 ; ループ先頭。(GR2 > GR1)の場合、LOOPへループ脱出 + JPL LOOP ; ↓ + SLL GR4,1 ; GR4を1回左シフト + ST GR2,TMP ; GR2の値をTMPに退避 + SLL GR2,1 ; GR2を1回左シフト + JOV YOV ; オーバーフローの場合は、YOVへジャンプ + JUMP SL ; ループ終端 +YOV LD GR2,TMP ; GR2の値をTMPから復元 + SRL GR4,1 ; GR4を1回右シフト + JUMP LPIN ; LPINへジャンプ +LOOP SRL GR4,1 ; ループ先頭。GR4を1回右シフト + JZE SETMOD ; (GR4 = 0)の場合、SETMODへループ脱出 + SRL GR2,1 ; GR2を1回右シフト + CPL GR1,Y ; (GR1 < Y)の場合、SETMODへループ脱出 + JMI SETMOD ; ↓ + CPL GR1,GR2 ; (GR1 < GR2)の場合、ループ先頭へジャンプ + JMI LOOP ; ↓ +LPIN SUBL GR1,GR2 ; GR1 <- GR1 - GR2 + ADDL GR0,GR4 ; GR0 <- GR0 + GR4 + JUMP LOOP ; ループ終端 +DIVZERO LAD GR3,#8000 ; 強制的にオーバーフローを発生させ、GR3 <- 0 + SLL GR3,1 ; ↓ + JUMP FIN ; FIN へジャンプ +SETMOD LD GR3,GR1 ; GR3 <- GR1。剰余の設定 +FIN POP GR4 + POP GR2 + POP GR1 + RET +Y DS 1 +TMP DS 1 + END diff --git a/mod/casl/casl2lib/outl.casl b/mod/casl/casl2lib/outl.casl new file mode 100644 index 0000000..a2967d8 --- /dev/null +++ b/mod/casl/casl2lib/outl.casl @@ -0,0 +1,36 @@ +;;; GR1に格納された値を、10進数の整数値(0〜65535)として表示 +;;; 依存プログラム: DIVL, REV +OUTL START + RPUSH + LAD GR2,10 ; GR2に10進数の「10」を格納。 + LAD GR0,0 ; GR0 <- 0 + XOR GR4,GR4 ; 整数値の長さ + AND GR1,GR1 ; GR1をテスト + JZE ZERO ; GR1が0の場合、ZEROにジャンプ +STI CPL GR1,GR2 ; ループ先頭。(GR1 < GR2)の場合は、ループ脱出 + JMI STLST ; ↓ + CALL DIVL ; GR1とGR2の、商をGR0、剰余をGR3に格納 + LD GR1,GR3 ; GR1にGR3をコピー + LD GR1,NCHAR,GR1 ; GR1を文字に変換 + ST GR1,STR,GR4 ; (STR + GR4) <- GR1 + LAD GR4,1,GR4 ; GR4 <- GR4 + 1 + LD GR1,GR0 ; GR0をGR1にコピー + JUMP STI ; ループ終端 +STLST LD GR1,NCHAR,GR1 ; GR1を文字に変換 + ST GR1,STR,GR4 ; (STR + GR4) <- GR1 + LAD GR4,1,GR4 ; GR4 <- GR4 + 1 + JUMP PRT ; PRTにジャンプ +ZERO LD GR1,NCHAR ; 「0」をSTR領域に格納 + ST GR1,STR,GR4 ; ↓ (STR + GR4) <- GR1 + LAD GR4,1,GR4 ; ↓ GR4 <- GR4 + 1 +PRT ST GR4,LEN ; LEN <- GR4 + LD GR2,LEN ; GR2にLENの値を格納 + LAD GR1,STR ; GR1に文字列のアドレスを格納 + CALL REV ; 文字列を逆順に並べ替え + OUT STR,LEN ; 文字列を出力 + RPOP + RET +STR DS 17 ; 符号付き2進数で表記した場合を想定 +LEN DS 1 +NCHAR DC '0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ' + END diff --git a/mod/casl/casl2lib/rev.casl b/mod/casl/casl2lib/rev.casl new file mode 100644 index 0000000..397c5c4 --- /dev/null +++ b/mod/casl/casl2lib/rev.casl @@ -0,0 +1,27 @@ +;;; メモリー上にある指定されたアドレス、長さの文字列を逆順に並べ替える +;;; 例: 12345 -> 54321、54321- -> -12345 +;;; 入力 GR1:文字列のアドレス GR2:文字列の長さ +;;; 出力 (同上) +REV START + RPUSH + LAD GR3,0 ; GR3の初期化 +PU CPL GR3,GR2 ; ループ先頭。(GR3 = GR2)の場合、ループ脱出 + JZE NEXT ; ↓ + LD GR4,GR1 ; GR4 <- GR1 + ADDL GR4,GR3 ; GR4 <- GR4 + GR3 + LD GR5,0,GR4 ; GR5 <- GR4アドレスの値 + PUSH 0,GR5 ; GR5をプッシュ + LAD GR3,1,GR3 ; GR3 <- GR3 + 1 + JUMP PU ; ループ終端 +NEXT LAD GR3,0 ; GR3の初期化 +PO CPL GR3,GR2 ; ループ先頭。(GR3 = GR2)の場合、ループ脱出 + JZE FIN ; ↓ + POP GR5 ; GR5にポップ + LD GR4,GR1 ; GR4にGR1の値をコピー + ADDL GR4,GR3 ; GR4 <- GR4 + GR3 + ST GR5,0,GR4 ; GR4のアドレス <- GR5の値 + LAD GR3,1,GR3 ; GR3 <- GR3 + 1 + JUMP PO ; ループ終端 +FIN RPOP + RET + END diff --git a/mod/casl/fizzbuzz.casl b/mod/casl/fizzbuzz.casl new file mode 100644 index 0000000..3866614 --- /dev/null +++ b/mod/casl/fizzbuzz.casl @@ -0,0 +1,52 @@ +MAIN START + RPUSH + LD GR1,MIN ; GR1にMINを代入 +LOOP CALL FIZBUZ ; FIZBUZを呼び出す + CPL GR1,MAX ; GR1とMAXを比較 + JZE FIN ; GR1 = MAX の場合、FINへジャンプ + LAD GR1,1,GR1 ; GR1 <- GR1 + 1 + JUMP LOOP ; LOOPへジャンプ +FIN RPOP + RET +MIN DC 1 +MAX DC 100 + +;; GR1の値に応じて次を出力 +;; 3の倍数: Fizz +;; 5の倍数: Buzz +;; 3の倍数かつ5の倍数: FizzBuzz +;; それ以外の数: 数値 +FIZBUZ START + RPUSH + XOR GR4,GR4 ; GR4: 文字列「Fizz」の長さおよびフラグ + XOR GR5,GR5 ; GR5: 文字列「Buzz」の長さおよびフラグ + XOR GR6,GR6 ; GR6: 文字列「FizzBuzz」のフラグ + LD GR2,FIZNUM ; GR2にFIZNUM=3を代入 + CALL DIVL ; GR3 <- GR1 % 3(= GR2) + LD GR4,GR3 ; GR4 <- GR3 + LD GR2,BUZNUM ; GR2にBUZNUM=5を代入 + CALL DIVL ; GR3 <- GR1 % 5(= GR2) + LD GR5,GR3 ; GR5 <- GR3 + LD GR6,GR4 ; GR6 <- GR4 or GR5 + OR GR6,GR5 ; ↓ + JNZ PUTFIZ ; GR6が0以外の場合、GR4かGR5のどちらかが0以外なので、PUTFIZへジャンプ + OUT FIZZ,FBLEN ; 「FizzBuzz」を出力 + JUMP FIN ; 「FizzBuzz」を出力するため、PUTFIZへジャンプ +PUTFIZ LD GR4,GR4 ; GR4のフラグ値を取得 + JNZ PUTBUZ ; GR4が0以外の場合、PUTBUZへジャンプ + OUT FIZZ,FIZLEN ;「Fizz」を出力 + JUMP FIN ; FINへジャンプ +PUTBUZ LD GR5,GR5 ; GR5が0以外の場合、PUTNUMへジャンプ + JNZ PUTNUM ; ↓ + OUT BUZZ,BUZLEN ;「Buzz」を出力 + JUMP FIN ; FINへジャンプ +PUTNUM CALL OUTL ; GR1の数値を表示 +FIN RPOP + RET +FIZNUM DC 3 +BUZNUM DC 5 +FIZZ DC 'Fizz' +BUZZ DC 'Buzz' +FIZLEN DC 4 +BUZLEN DC 4 +FBLEN DC 8 diff --git a/mod/emacslisp/.gitignore b/mod/emacslisp/.gitignore new file mode 100644 index 0000000..c531d98 --- /dev/null +++ b/mod/emacslisp/.gitignore @@ -0,0 +1 @@ +*.elc diff --git a/emacslisp/fizzbuzz.el b/mod/emacslisp/fizzbuzz.el similarity index 100% rename from emacslisp/fizzbuzz.el rename to mod/emacslisp/fizzbuzz.el diff --git a/javascript/fizzbuzz.js b/mod/javascript/fizzbuzz.js similarity index 100% rename from javascript/fizzbuzz.js rename to mod/javascript/fizzbuzz.js diff --git a/javascript/fizzbuzz2.js b/mod/javascript/fizzbuzz2.js similarity index 100% rename from javascript/fizzbuzz2.js rename to mod/javascript/fizzbuzz2.js diff --git a/javascript/index.html b/mod/javascript/index.html similarity index 100% rename from javascript/index.html rename to mod/javascript/index.html diff --git a/ruby/fizzbuzz.rb b/mod/ruby/fizzbuzz.rb similarity index 95% rename from ruby/fizzbuzz.rb rename to mod/ruby/fizzbuzz.rb index 55b7316..6746370 100755 --- a/ruby/fizzbuzz.rb +++ b/mod/ruby/fizzbuzz.rb @@ -15,7 +15,7 @@ def puts_fizzbuzz(n) end min = 1 -max = 100 +max = 65535 for i in min..max puts_fizzbuzz(i) diff --git a/sh/fizzbuzz.sh b/mod/sh/fizzbuzz.sh similarity index 100% rename from sh/fizzbuzz.sh rename to mod/sh/fizzbuzz.sh